researchtitleresearch

Refereed Conference (C), Workshop (W), and Journal (J) Publications

C     Understanding the Impact of Number of CPU Cores on User Experience in Smartphones
E. Poyraz, P. Kashinkunti, M. Schuchhardt, M. Kishinevsky, N. Soundararajan, G. Memik
In Proceedings of the 16th EAI International Conference on Mobile and Ubiquitous Systems: Computing, Networking and Services (MobiQuitous), Houston, TX, Nov. 2019

C     Using Built-In Sensors to Predict and Utilize User Satisfaction for CPU Settings on Smartphones
E. Poyraz and G. Memik
In Proceedings of the ACM Interactive, Mobile, Wearable and Ubiquitous Technologies (UbiComp), London, United Kingdom, Sep. 2019

J       Writeback-Aware LLC Management for PCM-based Main Memory Systems
B. Pourshirazi, M. ValadBeigi, Z. Zhu and G. Memik
ACM Transactions on Design Automation of Electronic Systems (TODAES), January 2019, Article: 18

C     Minimizing Thermal Variation in Heterogeneous HPC Systems with FPGA Nodes

Y. Luo, X. Wang, S. Ogrenci-Memik, G. Memik, K. Yoshii, and Pete Beckman

In Proc. of International Conference on Computer Design (ICCD), Orlando, FL, Oct. 2018

C     Thermal-Aware Optimizations of ReRAM-Based Neuromorphic Computing Systems
M. ValadBeigi and G. Memik
In Proc. of Design Automation Conference (
DAC), San Francisco, CA, June 2018

C     THOR: THermal-aware Optimizations for extending ReRAM lifetime
M. ValadBeigi and G. Memik
In Proc. of International Parallel & Distributed Processing Symposium (
IPDPS), Vancouver, Canada, May 2018

C     WALL: A Writeback-Aware LLC Management for PCM-based Main Memory Systems
B. Pourshirazi, M. ValadBeigi, Z. Zhu and G. Memik
In Proc. of Design, Automation and Test in Europe (
DATE), Dresden, Germany, Mar. 2018

C     User-aware Frame Rate Management in Android Smartphones

B. Egilmez, M. Schuchhardt, G. Memik, R. Ayoub, N. Soundararajan, and M. Kishinevsky

In Proc. of International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), Seoul, South Korea, Oct. 2017 [The paper also appeared at ACM TECS]

C     Evaluating irregular memory access on OpenCL FPGA platforms: A case study with XSBench

Y Luo, X Wen, K Yoshii, S Ogrenci-Memik, G Memik, H Finkel, F Cappello

in Proc. of International Conference on Field Programmable Logic and Applications (FPL), Ghent, Belgium, Sep. 2017

J       Machine Learning-Based Temperature Prediction for Runtime Thermal Management across System Components
K. Zhang, A. Guliani, S. Ogrenci Memik, G. Memik, K. Yoshii, R. Sankaran, P. Beckman
IEEE Transactions on Parallel and Distributed Systems (TPDS)

J       Cascaded spintronic logic with low-dimensional carbon
J. Friedman, A. Girdhar, R. Gelfand, G. Memik, H. Mohseni, A. Taflove, B. Wessels, J.-P. Leburton, A. Sahakian
Nature Communications 8, 15635

W   UStress: Understanding College Student Subjective Stress Using Wrist-Based Passive Sensing
B. Egilmez, E. Poyraz, W. Zhou, G. Memik, P. Dinda, N. Alshurafa
In Proc. of in IEEE WristSense Workshop (
WristSense) held in conjunction with IEEE Percom, Kona, HI, March 2017 [Best Paper Award]

C     TESLA: Using Microfluids to Thermally Stabilize 3D Stacked STT-RAM Caches

M. ValadBeigi and G. Memik

In Proc. of International Conference on Computer Design (ICCD), Phoenix, AZ, Oct. 2016

C     TAPAS: Temperature-aware Adaptive Placement for 3D Stacked Hybrid Caches

M. ValadBeigi and G. Memik

In Proc. of International Symposium on Memory Systems (MEMSYS), Washington, DC, Oct. 2016

C     Power Modeling and Characterizing User Activities on Smartwatches (short paper)

I. Poyraz and G. Memik

In Proc. of International Symposium on Workload Characterization (IISWC), Providence, RI, Sep. 2016

C     Therma: Thermal-aware Run-time Thread Migration for Nanophotonic Interconnects

M. ValadBeigi and G. Memik

In Proc. of International Symposium on Low Power Electronics and Design (ISLPED), San Francisco, CA, Aug. 2016

C     SCP: Synergistic Cache Compression and Prefetching

B. Patel, G. Memik, and N. Hardavellas

In Proc. of 33rd International Conference on Computer Design (ICCD), New York, NY, Oct. 2015

C     Optimizing Mobile Display Brightness by Leveraging Human Visual Perception

M. Schuchhardt, S. Jha, R. Ayoub, M. Kishinevsky, and G. Memik

In Proc. of International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), Amsterdam, Netherlands, Oct. 2015

C     Minimizing Thermal Variation Across System Components
K. Zhang, S. Ogrenci-Memik, G. Memik, K. Yoshii, R. Sankaran, P. Beckman
In Proc. of International Parallel & Distributed Processing Symposium (
IPDPS), Hyderabad, India, May 2015

C     User-Specific Skin Temperature-Aware DVFS for Smartphones
B. B. Egilmez, G. Memik, S. Ogrenci-Memik, and O. Ergin
In Proc. of Design, Automation and Test in Europe (
DATE), Grenoble, France, Mar. 2015

J       Emitter-Coupled Spin-Transistor Logic: Cascaded Spintronic Computing Beyond 10 GHz
A. Sahakian, J. S. Friedman ; B. W. Wessels, G. Memik
IEEE Journal on Emerging and Selected Topics in Circuits and Systems (
JETCAS), Feb. 2015

C     CAPED: Context-aware Personalized Display Brightness for Mobile Devices

M. Schuchhardt, S. Jha, R. Ayoub, M. Kishinevsky, and G. Memik

In Proc. of International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES), New Delhi, India, Oct. 2014

C     MIN: A Power Efficient Mechanism to Mitigate the Impact of Process Variations on Nanophotonic Networks

M. ValadBeigi and G. Memik

In Proc. of International Symposium on Low Power Electronics and Design (ISLPED), La Jolla, CA, Aug. 2014

C     Galaxy: A High-Performance Energy-Efficient Multi-Chip Architecture Using Photonic Interconnects

Y. Demir, Y. Pan, S. Song, N. Hardavellas, J. Kim, and G. Memik

In Proc. of ACM International Conference on Supercomputing (ICS), Munich, Germany, June 2014

J       The Impact of Dynamic Directories on Multicore Interconnects
M. Schuchhardt, A. Das, N. Hardavellas, G. Memik, and A. Choudhary
IEEE Computer 46(10): 32-39, October 2013

J       Emitter-Coupled Spin-Transistor Logic
J. S. Friedman, J. A. Peters, G. Memik, B. W. Wessels, A. V. Sahakian
Journal of Parallel and Distributed Computing (JPDC), September 2013

J       HAPPE: Human and Application Driven Frequency Scaling for Processor Power Efficiency
L. Yang, R. Dick, G. Memik, P. Dinda,
IEEE Transactions on Mobile Computing (TMC), 12(8): 1546-1557 (August 2013) [Selected as Highlight Paper]

J       A Parallel Monte Carlo Code for Simulating Collisional N-body Systems
B. Pattabiraman, S. Umbreit, W-K. Liao, F. Rasio, V. Kalogera, G. Memik, and A. Choudhary

The Astrophysics Journal (ApJ), 204(2): 15, 2013

C     Emitter-Coupled Spin-Transistor Logic

J. S. Friedman, Y. I. Ismail, G. Memik, A. V. Sahakian, and B. W. Wessels

In Proc. of IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), Amsterdam, The Netherlands, July 2012

C     Understanding the Impact of Laptop Power Saving Options Using Physiological Sensors
M. Schuchhardt, B. Scholbrock, U. Pamuksuz, G. Memik, P. Dinda, and R. Dick
I
n Proc. of International Symposium on Low Power Electronics and Design (ISLPED), Redondo Beach, CA, July-Aug. 2012

C     GPU-Accelerated Monte Carlo Simulations of Dense Stellar Systems
B. Pattabiraman, S. Umbreit, W-K. Liao, F. Rasio, V. Kalogera, G. Memik, and A. Choudhary
I
n Proc. of Innovative Parallel Computing, Foundations & Applications of GPU, Manycore, and Heterogeneous Systems (InPar), San Jose, CA, May 2012

C     Dynamic Directories: Reducing On-Chip Interconnect Power in Multicores
A. Das, M. Schuchhardt, N. Hardavellas, G. Memik, A. Choudhary
In Proc. of Design, Automation and Test in Europe (
DATE), Dresden, Germany, Mar. 2012

C     A Parallel Monte Carlo Algorithm for Modeling Dense Stellar Systems on Hybrid Architectures
B. Pattabiraman, S. Umbreit, W-K. Liao, F. Rasio, V. Kalogera, G. Memik, and A. Choudhary
I
n Proc. of SIAM Conference on Parallel Processing for Scientific Computing (PP12), Savannah, GA, February 2012

C     FeatherWeight: Low-cost Optical Arbitration with QoS Support
Y. Pan, J. Kim, G. Memik
I
n Proc. of International Symposium on Microarchitecture (MICRO), Porto Alegre, Brazil, Dec. 2011

J       Fine-Grain Voltage Tuned Cache Architecture for Yield Management under Process Variations
J. Kong, Y. Pan, S. Ozdemir, A. Mohan, G. Memik, and S. W. Chung
IEEE Transactions on VLSI (TVLSI) 20(8): 1532-1536 (2012)

W   Demo: Indoor Localization without Infrastructure using the Acoustic Background Spectrum
S. P. Tarzia, P. A. Dinda, R. P. Dick, G. Memik
In Proc. of International Conference on Mobile Systems, Applications, and Services (
MOBISYS), Washington, DC, June/July 2011 (demo paper)

C     Indoor Localization without Infrastructure using the Acoustic Background Spectrum
S. P. Tarzia, P. A. Dinda, R. P. Dick, G. Memik
In Proc. of International Conference on Mobile Systems, Applications, and Services (
MOBISYS), Washington, DC, June/July 2011

W   High Performance Data Mining Using R on Heterogeneous Platforms
P. Kumar, B. Ozisikyilmaz, W.-K. Liao, G. Memik, A. N. Choudhary
In Proc. of Workshop on Multithreaded Architectures and Applications (
MTAAP) held in conjunction with 25th IEEE International Parallel & Distributed Processing Symposium (IPDPS), Anchorage, AK, May 2011

J       Accelerating data mining workloads: current approaches and future challenges in system architecture design
A. N. Choudhary, D. Honbo, P. Kumar, B. Ozisikyilmaz, S. Misra, G. Memik
Wiley Interdisc. Rew.: Data Mining and Knowledge Discovery 1(1): 41-54 (2011)

C     Hardware/Software Techniques for DRAM Thermal Management
S. Liu, B. Leung, A. Neckar, S. Ogrenci Memik, G. Memik, N. Hardavellas
In Proc. of IEEE International Symposium on High-Performance Computer Architecture (
HPCA), San Antonio, TX, Feb. 2011

W   Exploring Benefits and Designs of Optically Connected Disintegrated Processor Architecture
Y. Pan, Y. Demir, N. Hardavellas, J. Kim, G. Memik
In Workshop on the Interaction between Nanophotonic Devices and Systems (
WINDS) held in conjunction with International Symposium on Microarchitecture (MICRO), Atlanta, GA, Dec. 2010

C     Display Power Management Policies in Practice
S. P. Tarzia, P. A. Dinda, R. P. Dick, G. Memik
In Proc. of International Conference on Autonomic Computing and Communications (
ICAC), Washington, DC, June 2010

C     Quantifying and Coping with Parametric Variations in 3D-Stacked Microarchitectures
S. Ozdemir, Y. Pan, A. Das, G. Memik, G. Loh, A. Choudhary
In Proc. of Design Automation Conference (
DAC), Anaheim, CA, June 2010

C     Characterizing and Modeling User Activity on Smartphones
A. Shye, B. Scholbrock, G. Memik, P. Dinda
In Proc. of International Conference on Measurement and Modeling of Computer Systems (
SIGMETRICS), New York, NY, June 2010 (poster paper)

J       An Approach for Adaptive DRAM Temperature and Power Management
S. Liu, Y. Zhang, S. Ogrenci Memik, G. Memik
IEEE Transactions on Transactions on Very Large Scale Integration Systems (
TVLSI), 18(4), April 2010

C     Detecting/Preventing Information Leakage on the Memory Bus due to Malicious Hardware
A. Das, G. Memik, J. Zambreno, A. Choudhary
In Proc. of Design, Automation and Test in Europe (
DATE), Dresden, Germany, Mar. 2010

C     FlexiShare: Energy-Efficient Nanophotonic Crossbar Architecture through Channel Sharing
Y. Pan, J. Kim, G. Memik
I
n Proc. of International Symposium on High-Performance Computer Architecture (HPCA), Bangalore, India, Jan. 2010

C     Into the Wild: Studying Real User Activity Patterns to Guide Power Optimization for Mobile Architectures
A. Shye, B. Scholbrock, G. Memik
I
n Proc. of International Symposium on Microarchitecture (MICRO), New York, NY, Dec. 2009

W   Tuning Nanophotonic On-chip Network Designs for Improving Memory Traffic
Y. Pan, J. Kim, G. Memik
In Workshop on Photonic Interconnects & Computer Architecture (
PICA) held in conjunction with International Symposium on Microarchitecture (MICRO), New York, NY, Dec. 2009

C     Sonar-Based Measurement of User Presence and Attention
S. P. Tarzia, R. P. Dick, P. A. Dinda, G. Memik
In Proc. of International Conference on Ubiquitous Computing (
UBICOMP), Orlando, FL, Sep./Oct. 2009 (notes paper)

C     A Demonstration of Sonar-Based User Presence Detection
S. P. Tarzia, R. P. Dick, P. A. Dinda, G. Memik
In Proc. of International Conference on Ubiquitous Computing (
UBICOMP), Orlando, FL, Sep./Oct. 2009 (demo paper)

C     Selective Wordline Voltage Boosting for Caches to Manage Yield under Process Variations
Y. Pan, J. Kong, S. Ozdemir, G. Memik, S. W. Chung
In Proc. of Design Automation Conference (
DAC), San Francisco, CA, July 2009

C     Firefly: Illuminating Future Network-on-Chip with Nanophotonics
Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, A. Choudhary
In Proc. of International Symposium on Computer Architecture (
ISCA), Austin, TX, June 2009

C     Sonar-Based Measurement of User Attention
S. P. Tarzia, R. P. Dick, P. A. Dinda, G. Memik
In Proc. of USENIX Annual Technical Conference (
USENIX), San Diego, CA, June 2009 (poster paper)

C     Exploring Concentration and Channel Slicing in On-Chip Network Router
P. Kumar, Y. Pan, J. Kim, G. Memik, A. Choudhary
In Proc. of International Symposium on Networks-on-Chip (
NOCS), San Diego, CA, May 2009

C     Analyzing the Impact of On-Chip Network Traffic on Program Phases for CMPs
Y. Zhang, B. Ozisikyilmaz, G. Memik, J. Kim, A. Choudhary
I
n Proc. of International Symposium on Performance Analysis of Systems and Software (ISPASS), Boston, MA, April 2009

C     User- and Process-Driven Dynamic Voltage and Frequency Scaling
B. Lin, A. Mallik, P. Dinda, G. Memik, R. Dick
I
n Proc. of International Symposium on Performance Analysis of Systems and Software (ISPASS), Boston, MA, April 2009

C     Evaluating the Effects of Cache Redundancy on Profit
A. Das, B. Ozisikyilmaz, S. Ozdemir, G. Memik, J. Zambreno, A. Choudhary
I
n Proc. of International Symposium on Microarchitecture (MICRO), Lake Como, Italy, Nov. 2008

C     Power to the People: Leveraging Human Physiological Traits to Control Microprocessor Frequency
A. Shye, Y. Pan, B. Scholbrock, J. S. Miller, G. Memik, P. Dinda, R. Dick
In Proc. of
International Symposium on Microarchitecture (MICRO), Lake Como, Italy, Nov. 2008 [Nominated for Best Paper Award]

C     Towards an "Early Neural Circuit Simulator": An FPGA Implementation of Processing In the Rat Whisker System
B. Leung, Y. Pan, C. Schroeder, S. Memik, G. Memik, and M. Hartmann
In Proc. of the 18th International Conference on Field-Programmable Logic and Applications (
FPL), Heidelberg, Germany, Sep. 2008

C     Machine Learning Models to Predict Performance of Computer System Design Alternatives
B. Ozisikyilmaz, G. Memik, A. Choudhary
In Proc. of the 37th International Conference on Parallel Processing (
ICPP), Portland, OR, Sep. 2008

J       Thermal Monitoring Mechanisms for Chip Multiprocessors
J. Long, S. O. Memik, G. Memik, R. Mukherjee
ACM Transactions on Architecture and Code Optimization (
TACO), Volume 5, Number 2, Aug. 2008

C     Learning and Leveraging the Relationship between Architecture-Level Measurements and Individual User Satisfaction
A. Shye, B. Ozisikyilmaz, A. Mallik, G. Memik, P. Dinda, R. Dick, A. Choudhary
In Proc. of International Symposium on Computer Architecture (
ISCA), Beijing, China, June 2008

C     Adaptive DRAM Temperature and Power Management
S. Liu, Y. Zhang, S. Ogrenci Memik, G. Memik
In Proc. of International Conference on Supercomputing (
ICS), Island of Kos, Greece, June 2008

C     Efficient System Design Space Exploration Using Machine Learning Techniques
B. Ozisikyilmaz, G. Memik, A. Choudhary
In Proc. of Design Automation Conference (
DAC), Anaheim, CA, June 2008

C     A Power and Temperature Aware DRAM Architecture
S. Liu, Y. Zhang, S. Ogrenci Memik, G. Memik
In Proc. of Design Automation Conference (
DAC), Anaheim, CA, June 2008

C     Energy Detection using Estimated Noise Variance for Spectrum Sensing in Cognitive Radio Networks
Z. Ye, G. Memik, J. Grosspietsch
In Proc. of Wireless Communications and Networking Conference (
WCNC), Las Vegas, NV, March/April 2008

C     An Efficient FPGA Implementation of Principle Component Analysis based Network Intrusion Detection System
A. Das, S. Misra, J. Zambreno, G. Memik, A. Choudhary
In Proc. of Design, Automation and Test in Europe (
DATE), Munich, Germany, Mar. 2008

C     PICSEL: Measuring User-Perceived Performance to Control Dynamic Frequency Scaling
A. Mallik, J. Cosgrove, R. Dick, G. Memik, P. Dinda
In Proc. of Architectural Support for Programming Languages and Operating Systems (
ASPLOS), Seattle, WA, Mar. 2008

W   Empathic Computer Architectures and Systems
Alex Shye, Lei Yang, Xi Chen, Berkin Ozisikyilmaz, Arindam Mallik, Bin Lin, Peter A. Dinda, Gokhan Memik, Robert P. Dick
In Architectural Support for Programming Languages and Operating Systems (ASPLOS) - Wild and Crazy Ideas VI (
ASPLOS-WACI), Seattle, WA, Mar. 2008

J       An FPGA-based Network Intrusion Detection Architecture
Abhishek Das, David Nguyen, Joseph Zambreno, Gokhan Memik, Alok Choudhary
IEEE Transactions on Information Forensics and Security (
TIFS), Volume 3, Issue 1, Mar. 2008

C     Automated Task Distribution in Multicore Network Processors using Statistical Analysis
A. Mallik, Y. Zhang, G. Memik
In Proc. of International Symposium on Architectures for Networking and Communications Systems (
ANCS), Orlando, FL, Dec. 2007

C     Design and Implementation of an FPGA Architecture for High-Speed Network Feature Extraction
S. Pati,
R. Narayanan, G. Memik, A. Choudhary, J. Zambreno
In Proc. of International Conference on Field-Programmable Technology (
FPT), Kitakyushu, Japan, Dec. 2007

C     Variable Latency Caches for Nanoscale Processor
S. Ozdemir, J. C. Ku, A. Mallik, G. Memik
, Y. Ismail
In Proc. of
Conference for High Performance Computing, Networking, Storage and Analysis (SC), Reno, NV, Nov. 2007 [Best Student Paper Award]

C     Evaluating Voltage Islands in CMPs under Process Variations
A. Das, S. Ozdemir, G. Memik, A. Choudhary
In Proc. of 25th International Conference on Computer Design (
ICCD), Lake Tahoe, CA, Oct. 2007

C     Spectrum Sensing Using Cyclostationary Spectrum Density for Cognitive Radios
Z. Ye, J. Grosspietsch, G. Memik
In Proc. of 26th Workshop on Signal Processing Systems (
SiPS), Shanghai, China, Oct. 2007

J       Reversible Sketches: Enabling Monitoring and Analysis over High-speed Data Streams
R. Schweller, Z. Li, Y. Chen, Y. Gao, A. Gupta, E. Parasons, Y. Zhang, P. Dinda, M. Kao, G. Memik
IEEE/ACM Transactions on Networking (
ToN), Volume 15, no. 5, October 2007

C     Digital Modulation Classification Using Temporal Waveform Features for Cognitive Radios
Z. Ye, G. Memik, J. Grosspietsch
In Proc. of 18th Annual International Symposium on Personal, Indoor and Mobile Radio Communications (
PIMRC), Athens, Greece, Sep. 2007

C     Power Reduction Through Measurement and Modeling of Users and CPUs
B. Lin, A. Mallik, P. Dinda, G. Memik, R. Dick
In Proc. of International Conference on Measurement and Modeling of Computer Systems (
SIGMETRICS), San Diego, CA, June 2007 (poster presentation)

W   The User In Experimental Computer Systems Research
P. Dinda, G. Memik, R. Dick, B. Lin, A. Mallik, A. Gupta, S. Rossoff
In Proc. of FCRC Workshop on Experimental Computer Science (
ExpCS), San Diego, CA, June 2007

W   Mitigating the Effects of Process Variations: Architectural Approaches for Improving Batch Performance
A. Das, S. Ozdemir, G. Memik, A. Choudhary
In Proc. of Workshop on Architectural Support for Gigascale Integration (
ASGI) held in conjunction with International Symposium on Computer Architecture (ISCA), San Diego, CA, June 2007

J       Microarchitectures for Managing Chip Revenues under Process Variations
Abhishek Das, Serkan Ozdemir, Gokhan Memik, Joseph Zambreno, Alok Choudhary
IEEE Computer Architecture Letters (
CAL), Volume 6, June 2007

W   Quantization Error and Accuracy-Performance Tradeoffs for Embedded Data Mining Workloads
R. Narayanan, B. Ozisikyilmaz, G. Memik, A. Choudhary, J. Zambreno
In Proc. of
High Performance Data Mining Workshop (HPDM), Beijing, China, May 2007

J       Thermal Management of On-Chip Caches through Power Density Minimization
Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea Ismail
IEEE Transactions on Transactions on Very Large Scale Integration Systems (
TVLSI), Volume 15, Issue 5, pp. 592-604, May 2007

C     An FPGA Implementation of Decision Tree Classification
R. Narayanan, D. Honbo, G. Memik, A. Choudhary, J. Zambreno
In Proc. of
Design, Automation, and Test in Europe (DATE), Nice, France, April 2007

C     An FPGA Based All Digital Transmitter with Radio Frequency Output for Software Defined Radio
Z. Ye, G. Memik, J. Grosspietsch
In Proc. of Design, Automation, and Test in Europe (
DATE), Nice, France, April 2007

C     Yield-Aware Cache Architectures
S. Ozdemir, D. Sinha, G. Memik, J. Adams, H. Zhou
In Proc. of International Symposium on Microarchitecture (
MICRO), Orlando, FL, Dec. 2006

C     An Architectural Characterization Study of Data Mining and Bioinformatics Workloads
B. Ozisikyilmaz, R. Narayanan, J. Zambreno, G. Memik, A. Choudhary
In Proc. of International Symposium on Workload Characterization (
IISWC), San Jose, CA, Oct. 2006

C     MineBench: A Benchmark Suite for Data Mining Workloads
R. Narayanan, B. Ozisikyilmaz, J. Zambreno, G. Memik, A. Choudhary
In Proc. of International Symposium on Workload Characterization (
IISWC), San Jose, CA, Oct. 2006 (benchmark submission)

J       User-Driven Frequency Scaling
Arindam Mallik, Bin Lin, Gokhan Memik, Peter Dinda, Robert Dick
IEEE Computer Architecture Letters (
CAL), Volume 5, no. 2, 2006

J       Multi-Collective I/O: A technique for exploiting inter-file access patterns
Gokhan Memik, Mahmut T. Kandemir, Wei-Keng Liao, Alok Choudhary
ACM Transactions on Storage (
ToS), Volume 2, Issue 3, pp. 349-369, August 2006

J       Evaluating Network Processors using NetBench
Gokhan Memik and William H. Mangione-Smith
ACM Transactions on Embedded Computing Systems (
TECS), Volume 5, Issue 2, pp. 453-471, May 2006

C     A Reconfigurable Architecture for Network Intrusion Detection Using Principal Component Analysis
D. Nguyen, A. Das, G. Memik, A. Choudhary
In Proc. of Symposium on Field-Programmable Custom Computing Machines (
FCCM), Napa, California, April 2006 (poster presentation)

C     Power Density Minimization for Highly-Associative Caches in Embedded Processors
J. C. Ku, S. Ozdemir, G. Memik
, Y. Ismail
In Proc. of Great Lakes Symposium on VLSI (
GLSVLSI), Philadelphia, PA, Apr.-May 2006

C     Reverse Hashing for High-speed Network Monitoring: Algorithms, Evaluation, and Applications
R. Schweller, Z. Li, Y. Chen, Y. Gao, A. Gupta, E. Parsons, Y. Zhang, P. Dinda, M. Kao, G. Memik
In Proc. of 25th Annual Joint Conference of the IEEE Computer and Communications Societies (
INFOCOM), Barcelona, Spain, Apr. 2006

C     A Reconfigurable Architecture for Network Intrusion Detection using Principal Component Analysis
D. Nguyen, G. Memik, A. Choudhary
In Proc. of Fourteenth International Symposium on Field-Programmable Gate Arrays (
FPGA), Monterey, CA, Feb. 2006 (poster presentation)

W   Performance Characterization of Data Mining Applications using MineBench
J. Zambreno, B. Ozisikyilmaz, J. Pisharath, G. Memik, A. Choudhary
In Proc. of Ninth Workshop on Computer Architecture Evaluation using Commercial Workloads (
CAECW) held in conjunction with 12th International Symposium on High Performance Computer Architecture (HPCA-12), Austin, TX, Feb. 2006

C     Thermal Management of On-Chip Caches Through Power Density Minimization
J. C. Ku, S. Ozdemir, G. Memik
, Y. Ismail
In Proc. of International Symposium on Microarchitecture (
MICRO), Barcelona, Spain, Nov. 2005

C     Reducing the Energy of Speculative Instruction Schedulers
Y. Liu, G. Memik, G. Reinman
In Proc. of International Conference on Computer Design (
ICCD), San Jose, CA, Oct. 2005

C     Real-Time Feature Extraction for High Speed Networks
D. Nguyen, G. Memik, S. Ogrenci Memik, A. Choudhary

In Proc. of
International Conference on Field Programmable Logic and Applications (FPL), Tampere, Finland, Aug. 2005

C     Peak Temperature Control and Leakage Reduction During Binding in High Level Synthesis
R. Mukherjee, S. O. Memik, G. Memik

I
n Proc. of Int. Symposium on Low Power Electronics and Design (ISLPED), San Diego, CA, Aug. 2005

J       Low Power Correlation Caches for Network Processors
Arindam Mallik and Gokhan Memik
Journal of Low Power Electronics (
JOLPE), Volume 1, no. 2, pp. 108-118, August 2005

J       Application-Level Error Measurements for Network Processors
Arindam Mallik, Matthew C. Wildrick, Gokhan Memik
IEICE Transactions on Information and Systems (
TIS), Volume E88-D, no. 8, August 2005

C     Engineering Over-Clocking: Reliability-Performance Trade-Offs for High-Performance Register Files
G. Memik
, M. Chowdhury, A. Mallik, Y. Ismail
In Proc. of
International Conference on Dependable Systems and Networks (DSN), Yokohama, Japan, June - July 2005

C     Tornado Warning: the Perils of Selective Replay in Multithreaded Processors
Y. Liu, A. Shayesteh, G. Memik, G. Reinman
In Proc. of International Conference on Supercomputing (
ICS), Cambridge, MA, June 2005

C     Temperature-Aware Resource Allocation and Binding in High-Level Synthesis
R. Mukherjee, S. O. Memik, G. Memik

I
n Proc. of Design Automation Conference (DAC), Anaheim, CA, June 2005 [Nominated for Best Paper Award]

C     Load Elimination for Low-Power Embedded Processors
G. Memik
, M. Kandemir, A. Mallik
I
n Proc. of Great Lakes Symposium on VLSI (GLSVLSI), Chicago, IL, Apr. 2005

C     Increasing Register File Immunity to Transient Errors
G. Memik
, M. Kandemir, O. Ozturk
In Proc. of
Design, Automation, and Test in Europe (DATE), Munich, Germany, Mar. 2005

C     Automatic Extraction of Function Bodies from Software Binaries
G.
Mittal, D. Zaretsky, G. Memik, P. Banerjee
In Proc. of
the Asia and South Pacific Design Automation Conference (ASPDAC), Shanghai, China, Jan. 2005

C     Compiler-Directed Selective Data Protection Against Soft Errors
G. Chen, M. Kandemir, M. J. Irwin, G. Memik
In Proc. of the Asia and South Pacific Design Automation Conference (
ASPDAC), Shanghai, China, Jan. 2005

J       Precise Instruction Scheduling
Gokhan Memik, Glenn Reinman, William H. Mangione-Smith
Journal of Instruction-Level Parallelism (
JILP), Volume 7, January 2005

C     A Case for Clumsy Packet Processors
A. Mallik and G. Memik
In Proc. of International Symposium on Microarchitecture (
MICRO), Portland, OR, Dec. 2004

C     The Calm Before the Storm: Reducing Replays in the Cyclone Scheduler
Y. Liu, A. Shayesteh, G. Memik, G. Reinman
In Proc. of P=ac2: First Watson Conference on Interaction between Architecture, Circuits, and Compilers (
P=ac2), Yorktown Heights, NY, Oct. 2004

C     Performance Evaluation and Characterization of Scalable Data Mining Algorithms
Y. Liu, J. Pisharath, W.-K. Liao, G. Memik, A. Choudhary
In Proc. of Parallel and Distributed Computing and Systems (
PDCS), San Francisco, CA, Sep. 2004

C     Flow Monitoring in High-Speed Networks using Two Dimensional Hash Tables
D. Nguyen, J. Zambreno, G. Memik
In Proc. of Field-Programmable Logic and its Applications (
FPL), Antwerp, Belgium, Aug.-Sep. 2004 (poster presentation)

C     Design and Evaluation of Correlating Caches
A. Mallik, M. Wildrick, G. Memik
In Proc. of Int. Symposium on Low Power Electronics and Design (
ISLPED), New Port, CA, Aug. 2004 (poster presentation)

C     Scaling the Issue Window with Look-Ahead Latency Prediction
Y. Liu, A. Shayesteh, G. Memik, G. Reinman
In Proc. of International Conference on Supercomputing (
ICS), Saint-Malo, France, June-July 2004

2003 and prior

C     Reducing Energy and Delay Using Efficient Victim Caches
G. Memik, G. Reinman, W. H. Mangione-Smith
In Proc. of International Symposium on Low Power Electronics and Design (
ISLPED), Seoul, Korea, Aug. 2003

C     Global Resource Sharing for Synthesis of Control Data Flow Graphs on FPGA’s
S. O. Memik, G. Memik, R. Jafari, E. Kursun
In Proc. of 40th Design Automation Conference (
DAC), Anaheim, CA, June 2003

C     An Integrated Approach for Improving Cache Behavior
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary, Ismail Kadayif
In Proc. of Design Automation and Test in Europe (
DATE), Munich, Germany, Mar. 2003

C     Just Say No: Benefits of Early Cache Miss Determination
Gokhan Memik, Glenn Reinman, William H. Mangione-Smith
In Proc. of Ninth International Symposium on High Performance Computer Architecture (
HPCA), Anaheim, CA, Feb. 2003

W   NEPAL: A Framework for Efficiently Structuring Applications for Network Processors
Gokhan Memik and William H. Mangione-Smith
In Proc. of Second Workshop on Network Processors (
NP), held in conjunction with HPCA, Anaheim, CA, Feb. 2003

J       A High Performance Application Data Environment for Large-Scale Scientific Computations
X. Shen, W. Liao, A. Choudhary, G. Memik, M. Kandemir
IEEE Transactions on Parallel and Distributed Systems (
TPDS), Volume 14, Number 12, pp. 1262 – 1274, 2003

C     Improving Power Efficiency of Multi-Core Network Processors Through Data Filtering
Gokhan Memik and William H. Mangione-Smith
In Proc. of International Conference on Compilers, Architecture and Synthesis for Embedded Systems (
CASES), Grenoble, France, Oct. 2002

C     A Flexible Accelerator for Layer 7 Networking Applications
Gokhan Memik and William H. Mangione-Smith
In Proc. of 39th Design Automation Conference (
DAC), New Orleans, LA, June 2002

C     Design and Analysis of a Layer Seven Network Processor Accelerator Using Reconfigurable Logic
Gokhan Memik, Seda O. Memik, William H. Mangione-Smith
In Proc. of Symposium on Field-Programmable Custom Computing Machines (
FCCM), Napa Valley, CA, April 2002

C     Exploiting inter-file access patterns using multi-collective I/O
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary
In Proc. of USENIX Conference on File and Storage Technologies (
FAST), Monterey, CA, January, 2002

C     NetBench: A Benchmarking Suite for Network Processors
Gokhan Memik, William H. Mangione-Smith, Wendong Hu
In Proc. of the International Conference on Computer-Aided Design (
ICCAD), San Jose, CA, Nov. 2001

C     JETTY: Snoop Filtering for Reduced Power in SMP Servers
Andreas Moshovos, Gokhan Memik, Babak Falsafi, Alok Choudhary
In the Proc. of Seventh International Symposium on High Performance Computer Architecture (
HPCA), Monterey, Mexico, Jan. 2001

J       Design and Evaluation of Smart Disk Cluster for DSS Commercial Workloads
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary
Journal of Parallel and Distributed Computing (
JPDC), Volume 61, Issue 11, pp. 1633-1664, 2001

C     Design and Evaluation of Smart Disk Architecture for DSS Commercial Workloads
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary
In Proceedings of International Conference on Parallel Processing (
ICPP), Toronto, Canada, August 2000

C     Design and Evaluation of a Compiler-directed I/O Technique
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary
In Proceedings of European Conference on Parallel Computing (
Euro-Par), Munich, Germany, August 2000

C     APRIL: A Run-Time Library for Tape Resident Data
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary, Valerie E. Taylor
In Proceedings of 8. NASA Goddard Conference on Mass Storage Systems and Technologies (
MSST) held with 17. Symposium on Mass Storage Systems (MSS), College Park, MD, March 2000

C     A Novel Application Development Environment for Large-Scale Scientific Computations
X. Shen, W. Liao, A. Choudhary, G. Memik, M. Kandemir, S. More, G. Thiruvathukal, A. Singh
In Proceedings of ACM International Conference on Supercomputing (
ICS), Santa Fe, NM, May 2000

J       Data Management for Large-Scale Scientific Computations in High Performance Distributed Systems
A. Choudhary, M. Kandemir, J. No, G. Memik, X. Shen, W. Liao, H. Nagesh, S. More, V. Taylor, R. Thakur, R. Stevens.
Cluster Computing: the Journal of Networks, Software Tools and Applications (
CC), Volume 3, Issue 1, pp.45 – 60, 2000

C     Trekmail: Web-Based E-mail Server.
Ilkan Gokyilmaz, Sencer Kutlug, Gokhan Memik, A. Bora Utku
In the Proc. of the Open System Conference (
OSC), Istanbul, Turkey, May 1998

Book Chapters

§  Hardware/Software Techniques for Improving Cache Performance in Embedded Systems
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary, Ismail Kadayif
In Embedded Software for SoC, A. A. Jerraya, S. Yoo, N. Wehn, D. Verkest (editors), Kluwer Academic Publishers, June 2003

§  NEPAL: A Framework for Efficiently Structuring Applications for Network Processors
Gokhan Memik and William H. Mangione-Smith
In Network Processor Design: Issues and Practices, Volume 2, Patrick Crowley, Mark A. Franklin, Haldun Hadimioglu, Peter Z. Onufryk (editors), Morgan Kaufman, 2003. (Also appeared in Second Workshop on Network Processors – NP2)

Invited Papers

§  Archer: A Community Distributed Computing Infrastructure for Computer Architecture Research and Education
Renato J. O. Figueiredo, P. Oscar Boykin, Jose A. B. Fortes, Tao Li, Jie-Kwon Peir, David Wolinsky, Lizy K. John, David R. Kaeli, David J. Lilja, Sally A. McKee, Gokhan Memik, Alain Roy, Gary S. Tyson
In Collaborative Computing: Networking, Applications and Worksharing, 4th International Conference, CollaborateCom 2008, Orlando, FL, USA, November 13-16, 2008, Revised Selected Papers

§  Optimizing Data Mining Workloads using Hardware Accelerators
A. Choudhary, R. Narayanan, B. Ozısıkyılmaz, G. Memik, J. Zambreno, J. Pisharath
In Proc. of Tenth Workshop on Computer Architecture Evaluation using Commercial Workloads (CAECW) held in conjunction with 13th International Symposium on High Performance Computer Architecture (HPCA-13), Phoenix, Arizona, Feb. 2007

§  Measuring Application Error Rates for Network Processors
A. Mallik, M. C. Wildrick, G. Memik
In Proc. of
International Midwest Symposium on Circuits and Systems (MWSCAS), Hiroshima, Japan, July 2004

Technical Reports

§  PAD: Power-Aware Directory Placement in Distributed Caches
Abhishek Das, Matt Schuchhardt, Nikos Hardavellas, Gokhan Memik, Alok Choudhary
Technical Report NWU-EECS-10-11, November 2010

§  Prospects for Sonar-based Measurement of User Attentiveness
Arindam Mallik, Bin Lin, Peter Dinda, Gokhan Memik, Robert Dick

Technical Report NWU-EECS-09-06, April 2009

§  Process and User Driven Dynamic Voltage and Frequency Scaling
Arindam Mallik, Bin Lin, Peter Dinda, Gokhan Memik, Robert Dick 
Technical Report NWU-EECS-06-111, August 2006

§  Variable Latency Caches for Nanoscale Processor
Serkan Ozdemir, Ja Chun Ku, Arindam Mallik, Gokhan Memik, Yehea Ismail
Technical Report NWU-EECS-06-16, June 2006

§  Thermal Managment of On-Chip Caches Through Power Density Minimization
Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea Ismail.
Technical Report CUCIS-2005-07-001, Center for Ultra-scale Computing and Information Security, July 2005

§  Precise Scheduling with Early Cache Miss Detection
Gokhan Memik, Glenn Reinman, William H. Mangione-Smith
CARES Technical Report No. CTR#2003_01, UCLA, January 2003

§  I/O Optimizations for Hierarchical Storage Systems
Gokhan Memik
Technical Report CPDC-TR-2000-05-004, Center for Parallel and Distributed Computing, Northwestern University, May 2000.

§  An Experimental Evaluation of Smart Disk Architectures Using DSS Commercial Workloads
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary.
Technical Report CPDC-TR-9909-015, Center for Parallel and Distributed Computing, Northwestern University, September 1999. (This is an earlier version of the conference paper "Design and Evaluation of Smart Disk Architecture for DSS Commercial Workloads").

§  PART: A Run-Time Library for Tape Resident Data
Gokhan Memik, Mahmut T. Kandemir, Alok Choudhary.
Technical Report CPDC-TR-9909-014, Center for Parallel and Distributed Computing, Northwestern University, September 1999. (This is an earlier version of the conference paper "APRIL: A Run-Time Library for Tape Resident Data").